Part Number Hot Search : 
21002 SMF170CA 337M006 21002 C74HC2 STA3350 BR9771PM EBACT
Product Description
Full Text Search
 

To Download IDT79R3052-40MJ Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  commercial temperature range september 1995 1995 integrated device technology, inc. 5.3 dsc-3000/5 idt79r3051/79r3052 riscontrollers ? idt79r3051 ? , 79r3051e idt79r3052 ? , 79r3052e features: instruction set compatible with idt79r3000a and idt79r3001 mips risc cpus high level of integration minimizes system cost, power consumption idt79r3000a /idt79r3001 risc integer cpu r3051 features 4kb of instruction cache r3052 features 8kb of instruction cache all devices feature 2kb of data cache ??versions (extended architecture) feature full function memory management unit, including 64- entry translation lookaside buffer (tlb) 4-deep write buffer eliminates memory write stalls 4-deep read buffer supports burst refill from slow memory devices on-chip dma arbiter bus interface minimizes design complexity single clock input with 40%-60% duty cycle 35 mips, over 64,000 dhrystones at 40mhz low-cost 84-pin plcc packaging that's pin-/package- compatible with thermally enhanced 84-pin mquad. flexible bus interface allows simple, low-cost designs 20, 25, 33, and 40mhz operation complete software support optimizing compilers real-time operating systems monitors/debuggers floating point software page description languages 1 clock generator unit master pipeline control system control coprocessor integer cpu core exception/control registers memory management registers translation lookaside buffer (64 entries) general registers (32 x 32) alu shifter mult/div unit address adder pc control virtual address data cache (2kb) instruction cache (8kb/4kb) physical address bus biu control dma arbiter 4-deep read buffer 4-deep write buffer clk2xin int(5:0) 32 32 brcond(3:0) data bus bus interface unit address/ data dma ctrl rd/wr ctrl sysclk 2874 drw 01 the idt logo is a registered trademark, and rischipset, riscontroller, r3041, r3051, r3052, r3071, r3081, r3720, r4400 and r4600 are trademarks of integrated device technology, inc. figure 1. r3051 family block diagram integrated device technology, inc.
5.3 2 idt79r3051/79r3052 integrated riscontrollers commercial temperature range introduction the idt idt79r3051 family is a series of high-perfor- mance 32-bit microprocessors featuring a high level of inte- gration which are targeted to high-performance, but cost- sensitive embedded processing applications. the idt79r3051 family is designed to bring the high-performance inherent in the mips risc architecture into low-cost, simplified, power- sensitive applications. functional units were integrated onto the cpu core in order to reduce the total system cost, without significantly degrading system performance. thus, the idt79r3051 family is able to offer 35mips of integer performance at 40mhz without requir- ing external sram or caches. furthermore, the idt79r3051 family brings dramatic power reduction to these embedded applications, allowing the use of low-cost packaging for devices up to 25 mhz. the idt79r3051 family allows customer applications to bring maximum per- formance at minimum cost. figure 1 shows a block-level representation of the func- tional units within the idt79r3051 family. the idt79r3051 family could be viewed as the embodiment of a discrete solution built around the idt79r3000a or idt79r3001. however, by integrating this functionality on a single chip, dramatic cost and power reductions are achieved. currently, there are four members of the idt79r3051 family. all devices are pin- and software-compatible: the differences lie in the amount of instruction cache, and in the memory management capabilities of the processor: the idt79r3052"e?incorporates 8kb of instruction cache, and features a full-function memory management unit (mmu), including a 64-entry fully-associative translation lookaside buffer (tlb). this is the same mmu incorporated into the idt79r3000a and idt79r3001. the idt79r3052 also incorporates 8kb of instruction cache. however, the mmu is a much simpler subset of the capabili- ties of the enhanced versions of the architecture, and in fact does not use a tlb. the idt79r3051"e?incorporates 4kb of instruction cache. additionally, this device features the same full-function mmu (including tlb file) as the idt79r3052"e? and idt79r3000a. the idt79r3051 incorporates 4kb of instruction cache, and uses the simpler memory management model of the idt79r3052. an overview of the functional blocks incorporated in these devices follows. cpu core the cpu core is a full 32-bit risc integer execution engine, capable of sustaining close-to single cycle execution rate. the cpu core contains a five stage pipeline and 32 orthogonal 32-bit registers. the idt79r3051 family imple- ments the mips isa. in fact, the execution engine of the idt79r3051 family is the same as the execution engine of the idt79r3000a (and idt79r3001). thus the idt79r3051 family is binary-compatible with those cpu engines. figure 2. r3051 family 5-stage pipeline the execution engine of the idt79r3051 family uses a five-stage pipeline to achieve close-to single cycle execution. a new instruction can be started in every clock cycle; the execution engine actually processes five instructions con- currently (in various pipeline stages). figure 2 shows the concurrency achieved by the idt79r3051 family pipeline. if current cpu cycle i#1 alu rd mem wb if i#2 alu rd mem wb if i#3 alu rd mem wb if i#4 alu rd mem wb if i#5 alu rd mem wb 2874 drw 02 system control co-processor the r3051 family also integrates on-chip the system control co-processor, cp0. cp0 manages both the excep- tion handling capability of the idt79r3051 family, as well as the virtual to physical mapping of the idt79r3051 family. there are two versions of the idt79r3051 family architec- ture: the extended architecture versions (the idt79r3051e and idt79r3052e) contain a fully associative 64-entry tlb which maps 4kb virtual pages into the physical address space. the virtual to physical mapping thus includes kernel segments which are hard mapped to physical addresses, and kernel and user segments which are mapped on a page basis by the tlb into anywhere within the 4gb physical address space. in this tlb, 8-page translations can be ?ocked?by the kernel to insure deterministic response in real-time applica- tions. these versions thus use the same mmu structure as that found in the idt79r3000a and idt79r3001. figure 3 shows the virtual-to-physical address mapping found in the extended architecture versions of the processor family. the extended architecture devices allow the system designer to implement kernel software to dynamically manage user task utilization of memory resources, and also allow the kernel to effectively ?rotect?certain resources from user tasks. these capabilities are important in a number of embedded applications, from process control (where resource protection may be extremely important) to x-window display systems (where virtual memory management is extremely important), and can also be used to simplify system debugging.
5.3 3 idt79r3051/79r3052 integrated riscontrollers commercial temperature range figure 3. virtual-to-physical mapping of extended architecture versions kernel mapped (kseg2) kernel uncached (kseg1) kernel cached (kseg0) user mapped cacheable (kuseg) physical memory memory 0xffffffff 0xc0000000 0xa0000000 0x80000000 0x00000000 3548mb 512mb any any virtual physical 2874 drw 03 figure 4. virtual-to-physical mapping of base architecture versions 1mb kernel rsvd kernel cacheable tasks kernel/user cacheable tasks inaccessible kernel boot and i/o 0xffffffff 0xc0000000 0xa0000000 0x80000000 0x00000000 1024mb 2048mb 512mb 512mb virtual physical kernel cached (kseg2) kernel uncached (kseg1) kernel cached (kseg0) user cached (kuseg) 1mb user rsvd 2874 drw 04 the base versions of the architecture (the idt79r3051 and idt79r3052) remove the tlb and institute a fixed address mapping for the various segments of the virtual address space. the base processors support distinct kernel and user mode operation without requiring page management software, leading to a simpler software model. the memory mapping used by these devices is illustrated in figure 4. note that the reserved address spaces shown are for compatibility with future family members; in the current family members, references to these addresses are translated in the same fashion as their respective segments, with no traps or excep- tions taken. when using the base versions of the architecture, the system designer can implement a distinction between the user tasks and the kernel tasks, without having to execute page management software. this distinction can take the form of physical memory protection, accomplished by ad- dress decoding, or in other forms. in systems which do not wish to implement memory protection, and wish to have the kernel and user tasks operate out of a single unified memory space, upper address lines can be ignored by the address decoder, and thus all references will be seen in the lower gigabyte of the physical address space.
5.3 4 idt79r3051/79r3052 integrated riscontrollers commercial temperature range clock generation unit the idt79r3051 family is driven from a single input clock, capable of operating in a range of 40%-60% duty cycle. on chip, the clock generator unit is responsible for managing the interaction of the cpu core, caches, and bus interface. the clock generator unit replaces the external delay line required in idt79r3000a and idt79r3001 based applications. instruction cache the current family includes two different instruction cache sizes: the idt79r3051 family (the idt79r3051 and idt79r3051e) feature 4kb of instruction cache, and the idt79r3052 and idt79r3052e each incorporate 8kb of instruction cache. for all four devices, the instruction cache is organized as a line size of 16 bytes (four words). this relatively large cache achieves a hit rate well in excess of 95% in most applications, and substantially contributes to the performance inherent in the idt79r3051 family. the cache is implemented as a direct mapped cache, and is capable of caching instructions from anywhere within the 4gb physical address space. the cache is implemented using physical addresses (rather than virtual addresses), and thus does not require flushing on context switch. data cache all four devices incorporate an on-chip data cache of 2kb, organized as a line size of 4 bytes (one word). this relatively large data cache achieves hit rates well in excess of 90% in most applications, and contributes substantially to the perfor- mance inherent in the idt79r3051 family. as with the instruc- tion cache, the data cache is implemented as a direct mapped physical address cache. the cache is capable of mapping any word within the 4gb physical address space. the data cache is implemented as a write through cache, to insure that main memory is always consistent with the internal cache. in order to minimize processor stalls due to data write operations, the bus interface unit incorporates a 4- deep write buffer which captures address and data at the processor execution rate, allowing it to be retired to main memory at a much slower rate without impacting system performance. bus interface unit the idt79r3051 family uses its large internal caches to provide the majority of the bandwidth requirements of the execution engine, and thus can utilize a simple bus interface connected to slow memory devices. the idt79r3051 family bus interface utilizes a 32-bit address and data bus multiplexed onto a single set of pins. the bus interface unit also provides an ale signal to de- multiplex the a/d bus, and simple handshake signals to process processor read and write requests. in addition to the read and write interface, the idt79r3051 family incorporates a dma arbiter, to allow an external master to control the external bus. the idt79r3051 family incorporates a 4-deep write buffer to decouple the speed of the execution engine from the speed of the memory system. the write buffers capture and fifo processor address and data information in store operations, and presents it to the bus interface as write transactions at the rate the memory system can accommodate. the idt79r3051/52 read interface performs both single word reads and quad word reads. single word reads work with a simple handshake, and quad word reads can either utilize the simple handshake (in lower performance, simple sys- tems) or utilize a tighter timing mode when the memory system can burst data at the processor clock rate. thus, the system designer can choose to utilize page or nibble mode drams (and possibly use interleaving), if desired, in high-perfor- mance systems, or use simpler techniques to reduce com- plexity. in order to accommodate slower quad-word reads, the idt79r3051 family incorporates a 4-deep read buffer fifo, so that the external interface can queue up data within the processor before releasing it to perform a burst fill of the internal caches. depending on the cost vs. performance tradeoffs appropriate to a given application, the system design engineer could include true burst support from the dram to provide for high-performance cache miss processing, or uti- lize the read buffer to process quad word reads from slower memory systems. system usage the idt79r3051 family has been specifically designed to easily connect to low-cost memory systems. typical low-cost memory systems utilize slow eproms, drams, and applica- tion-specific peripherals. these systems may also typically contain large, slow static rams, although the idt79r3051 family has been designed to not specifically require the use of external srams. figure 5 shows a typical system block diagram. transpar- ent latches are used to de-multiplex the idt79r3051/52 address and data busses from the a/d bus. the data paths between the memory system elements and the r3051 family a/d bus is managed by simple octal devices. a small set of simple pals can be used to control the various data path elements, and to control the handshake between the memory devices and the cpu. development support the idt79r3051 family is supported by a rich set of development tools, ranging from system simulation tools through prom monitor support, logic analysis tools, and sub- system modules. figure 7 is an overview of the system development process typically used when developing idt79r3051 family-based applications. the idt79r3051 family is supported by power- ful tools through all phases of project development. these tools allow timely, parallel development of hardware and software for idt79r3051/52 based applications, and include tools such as: a program, cache-3051, which allows the performance of an idt79r3051 family based system to be modeled and understood without requiring actual hardware.
5.3 5 idt79r3051/79r3052 integrated riscontrollers commercial temperature range sable, an instruction set simulator. optimizing compilers from mips, the acknowledged leader in optimizing compiler technology. idt cross development tools, available in a variety of development environments. the high-performance idt floating point library software, which has been integrated into the compiler toolchain to allow software floating point to replace hardware floating point without modifying the original source code. the idt evaluation board, which includes ram, eprom, i/o, and the idt prom monitor. the idt laser printer system board, which directly drives a low-cost print engine, and runs microsoft trueimage ? page description language on top of peerlesspage ? ad- vanced printer controller bios. adobe postscript ? page description language, ported to the r3000 instruction set, runs on the idt79r3051 family. the idt prom monitor, which implements a full prom monitor (diagnostics, remote debug support, peek/poke, etc.). an in-circuit emulator, developed and sold by embedded performance, inc. figure 5. typical r3051 family based system reset clk2xin int(5:0) brcond(3:0) busreq busgnt ad(31:0) ale addr(3:2) sysclk rd wr ack rdcen dataen burst/ wrnear berr memory and interface control pals address decode pal fct373t dram control pals dram fct245t eprom i/o devices/ peripherals system i/o idt r3051 family riscontroller 2874 drw 05
5.3 6 idt79r3051/79r3052 integrated riscontrollers commercial temperature range figure 6. r3051 family chip set based system clk2xin idt79r3051 family riscontroller address/ data control i/o controller dram controller dram dram prom i/o i/o idt73720 bus exchanger r3051 family local bus (2) 2874 drw 06
5.3 7 idt79r3051/79r3052 integrated riscontrollers commercial temperature range figure 7. r3051 family development toolchain cache-r305x benchmarks evaluation board laser printer system sable simulator dbg debugger pixie profiler mips compiler suite stand-alone libraries floating point library cross development tools adobe postscript ? pdl microsoft trueimage ? pdl ada cache-r305x hardware models general cad tools risc sub-systems evaluation board laser printer system hardware software logic analysis diagnostics idt prom monitor remote debug real-time os in-circuit emulator system architecture evaluation system development phase system integration and verification 2874 drw 07
5.3 8 idt79r3051/79r3052 integrated riscontrollers commercial temperature range airflow (ft/min) ca 0 200 400 600 800 1000 "j" package 29 26 21 18 16 15 "mj" package* 22 14 12 11 9 8 2874 tbl 01 performance overview the idt79r3051 family achieves a very high level of performance. this performance is based on: ? an efficient execution engine. the cpu performs alu operations and store operations at a single cycle rate, and has an effective load time of 1.3 cycles, and a branch execution rate of 1.5 cycles (based on the ability of the compilers to avoid software interlocks). thus, the execution engine achieves over 35mips performance when operating out of cache. ? large on-chip caches. the idt79r3051 family contains caches which are substantially larger than those on the majority of todays embedded microprocessors. these large caches minimize the number of bus transactions required, and allow the r3051 family to achieve actual sustained performance, very close to its peak execution rate. ? autonomous multiply and divide operations. the idt79r3051 family features an on-chip integer multiplier/ divide unit which is separate from the other alu. this allows the idt79r3051 family to perform multiply or divide opera- tions in parallel with other integer operations, using a single multiply or divide instruction rather than step operations. ? integrated write buffer. the idt79r3051 family features a four-deep write buffer, which captures store target ad- dresses and data at the processor execution rate and retires it to main memory at the slower main memory access rate. use of on-chip write buffers eliminates the need for the processor to stall when performing store operations. ? burst read support. the idt79r3051 family enables the system designer to utilize page mode or nibble mode rams when performing read operations to minimize the main memory read penalty and increase the effective cache hit rates. these techniques combine to allow the processor to achieve 35mips integer performance, and over 64,000 dhrystones at 40mhz without the use of external caches or zero wait-state memory devices. selectable features the idt79r3051 family allows the system designer to configure some aspects of operation. these aspects are established when the device is reset and include: big endian vs. little endian operation: the part can be configured to operate with either byte ordering convention, and in fact may also be dynamically switched between the two conventions. this facilitates the porting of applications from other processor architectures, and also permits inter- communications between various types of processors and databases. data cache refill of one or four words: the memory system must be capable of performing 4-word transfers to satisfy cache misses. this option allows the system de- signer to choose between one- and four-word refill on data cache misses, depending on the performance each option brings to his application. thermal considerations the idt79r3051 family utilizes special packaging tech- niques to improve the thermal properties of high-speed pro- cessors. thus, all versions of the idt79r3051 family are packaged in cavity-down packaging. the lowest cost members of the family use a standard cavity-down, injection molded plcc package (the "j" pack- age). this package, coupled with the power reduction tech- niques employed in the design of the idt79r3051 family, allows operation at speeds to 25mhz. however, at higher speeds, additional thermal care must be taken. for this reason, the idt79r3051 family is also available in the mquad package (the "mj" package), which is an all- aluminum package with the die attached to a normal copper lead-frame, mounted to the aluminum casing. the mquad allows for more efficient thermal transfer between the die and the case of the part due to the heat-spreading effect of the aluminum. the aluminum offers less internal resistance from one end of the package to the other, which reduces the temperature gradient across the package, and, therefore, presents a greater area for convection and conduction to the pcb for a given temperature. even nominal amounts of airflow will dramatically reduce the junction temperature of the die, resulting in cooler operation. the mquad package is available at all frequencies, and is pin- and form-compatible with the plcc package. thus, designers can choose to utilize this package without changing their pcb. the members of the idt79r3051 family are guaranteed in a case temperature range of 0 c to +85 c. the type of package, speed (power) of the device, and airflow conditions affect the equivalent ambient conditions which meet this specification. the equivalent allowable ambient temperature, t a , can be calculated using the thermal resistance from case to ambient (? ca ) of the given package. the following equation relates ambient and case temperature: t a = t c - p * ? ca where p is the maximum power consumption at hot tempera- ture, calculated by using the maximum i cc specification for the device. typical values for ? ca at various airflows are shown in table 1 for the various packages. table 1. thermal resistance ( ca ) at various airflows (*estimated: final values tbd)
5.3 9 idt79r3051/79r3052 integrated riscontrollers commercial temperature range pin description pin name i/o description a/d(31:0) i/o address/data: a 32-bit time multiplexed bus which indicates the desired address for a bus transaction in one phase, and which is used to transmit data between the cpu and external memory resources during the rest of the transfer. bus transactions on this bus are logically separated into two phases: during the first phase, information about the transfer is presented to the memory system to be captured using the ale output. this information consists of: address(31:4) : the high-order address for the transfer is presented on a/d(31:4). be be (3:0) : these strobes indicate which bytes of the 32-bit bus will be involved in the transfer, and are represented on a/d(3:0). during write cycles, the bus contains the data to be stored and is driven from the internal write buffer. on read cycles, the bus receives the data from the external resource, in either a single data transaction or in a burst of four words, and places it into the on-chip read buffer. addr(3:2) o low address (3:2) a 2-bit bus which indicates which word is currently expected by the processor. specifically, this two bit bus presents either the address bits for the single word to be transferred (writes or single datum reads) or functions as a two bit counter starting at 00 for burst read operations. diag(1) o diagnostic pin 1. this output indicates whether the current bus read transaction is due to an on- chip cache miss, and also presents part of the miss address. the value output on this pin is time multiplexed: cached: during the phase in which the a/d bus presents address information, this pin is an active high output which indicates whether the current read is a result of a cache miss. the value of this pin at this time in other than read cycles is undefined. miss address (3): during the remainder of the read operation, this output presents address bit (3) of the address the processor was attempting to reference when the cache miss occurred. regardless of whether a cache miss is being processed, this pin reports the transfer address during this time. diag(0) o diagnostic pin 0. this output distinguishes cache misses due to instruction references from those due to data references, and presents the remaining bit of the miss address. the value output on this pin is also time multiplexed: i/ d d : if the cached pin indicates a cache miss, then a high on this pin at this time indicates an instruction reference, and a low indicates a data reference. if the read is not due to a cache miss but rather an uncached reference, then this pin is undefined during this phase. miss address (2): during the remainder of the read operation, this output presents address bit (2) of the address the processor was attempting to reference when the cache miss occurred. regardless of whether a cache miss is being processed, this pin reports the transfer address during this time. ale o address latch enable: used to indicate that the a/d bus contains valid address information for the bus transaction. this signal is used by external logic to capture the address for the transfer, typically using transparent latches. dataen o external data enable: this signal indicates that the a/d bus is no longer being driven by the processor during read cycles, and thus the external memory system may enable the drivers of the memory system onto this bus without having a bus conflict occur. during write cycles, or when no bus transaction is occurring, this signal is negated, thus disabling the external memory drivers. 2874 tbl 02
5.3 10 idt79r3051/79r3052 integrated riscontrollers commercial temperature range pin description (continued): pin name i/o description burst/ o burst transfer/write near: on read transactions, the burst signal indicates that the current bus read wrnear is requesting a block of four contiguous words from memory. this signal is asserted only in read cycles due to cache misses; it is asserted for all i-cache miss read cycles, and for d-cache miss read cycles if selected at device reset time. on write transactions, the wrnear output tells the external memory system that the bus interface unit is performing back-to-back write transactions to an address within the same 256 word page as the prior write transaction. this signal is useful in memory systems which employ page mode or static column drams, and allows near writes to be retired quickly. rd o read: an output which indicates that the current bus transaction is a read. wr o write: an output which indicates that the current bus transaction is a write. ack i acknowledge: an input which indicates to the device that the memory system has sufficiently processed the bus transaction, and that the cpu may either terminate the write cycle or process the read data from this read transfer. rdcen i read buffer clock enable: an input which indicates to the device that the memory system has placed valid data on the a/d bus, and that the processor may move the data into the on-chip read buffer. sysclk o system reference clock: an output from the cpu which reflects the timing of the internal processor "sys" clock. this clock is used to control state transitions in the read buffer, write buffer, memory controller, and bus interface unit. busreq i dma arbiter bus request: an input to the device which requests that the cpu tri-state its bus interface signals so that they may be driven by an external master. busgnt o dma arbiter bus grant. an output from the cpu used to acknowledge that a busreq has been detected, and that the bus is relinquished to the external master. sbrcond(3:2) i branch condition port: these external signals are internally connected to the cpu signals brcond(1:0) cpcond(3:0). these signals can be used by the branch on co-processor condition instructions as input ports. there are two types of branch condition inputs: the sbrcond inputs have special internal logic to synchronize the inputs, and thus may be driven by asynchronous agents. the direct branch condition inputs must be driven synchronously. berr i bus error: input to the bus interface unit to terminate a bus transaction due to an external bus error. this signal is only sampled during read and write operations. if the bus transaction is a read operation, then the cpu will take a bus error exception. int (5:3) i processor interrupt: during normal operation, these signals are logically the same as the int (5:0) sint (2:0) signals of the r3000. during processor reset, these signals perform mode initialization of the cpu, but in a different (simpler) fashion than the interrupt signals of the r3000. there are two types of interrupt inputs: the sint inputs are internally synchronized by the processor, and may be driven by an asynchronous external agent. the direct interrupt inputs are not internally synchronized, and thus must be externally synchronized to the cpu. the direct interrupt inputs have one cycle lower latency than the synchronized interrupts. clk2xin i master clock input: this is a double frequency input used to control the timing of the cpu. reset i master processor reset: this signal initializes the cpu. mode selection is performed during the last cycle of reset . rsvd(4:0) i/o reserved: these five signal pins are reserved for testing and for future revisions of this device. users must not connect these pins. 2874 tbl 03
5.3 11 idt79r3051/79r3052 integrated riscontrollers commercial temperature range recommended operating temperature and supply voltage output loading for ac testing absolute maximum ratings (1, 3) symbol rating commercial unit v term terminal voltage C0.5 to +7.0 v with respect to gnd t c operating case 0 to +85 c temperature t bias temperature C55 to +125 c under bias t stg storage C55 to +125 c temperature v in input voltage C0.5 to +7.0 v notes: 2874 tbl 04 1. stresses greater than those listed under absolute maximum ratings may cause permanent damage to the device. this is a stress rating only and functional operation of the device at these or any other conditions above those indicated in the operational sections of this specification is not implied. exposure to absolute maximum rating conditions for extended periods may affect reliability. 2. v in minimum = C3.0v for pulse width less than 15ns. v in should not exceed v cc +0.5v. 3. not more than one output should be shorted at a time. duration of the short should not exceed 30 seconds. symbol parameter min. max. unit v ih input high voltage 3.0 v v il input low voltage 0 v v ihs input high voltage 3.5 v v ils input low voltage 0 v ac test conditions 2874 tbl 05 grade temperature gnd v cc commercial 0 c to +85 c 0v 5.0 5% (case) 2874 tbl 06 dc electrical characteristics (t c = 0 c to +85 c, v cc = +5.0v 5%) notes: 1. v il min. = C3.0v for pulse width less than 15ns. v il should not fall below C0.5v for larger periods. 2. v ihs and v ils apply to cik2xin and reset . 3. v ih should not be held above v cc + 0.5v. 4. guaranteed by design. 20mhz 25mhz 33.33mhz 40mhz symbol parameter test conditions min. max. min. max. min. max. min. max. unit v oh output high voltage v cc = min., i oh = C4ma 3.5 3.5 3.5 3.5 v v ol output low voltage v cc = min., i ol = 4ma 0.4 0.4 0.4 0.4 v v ih input high voltage (3) 2.0 2.0 2.0 2.0 v v il input low voltage (1) 0.8 0.8 0.8 0.8 v v ihs input high voltage (2,3) 3.0 3.0 3.0 3.0 v v ils input low voltage (1,2) 0.4 0.4 0.4 0.4 v c in input capacitance (4) 10 10 10 10 pf c out output capacitance (4) 10 10 10 10 pf i cc operating current v cc = 5v, t c = 25 c 350 400 450 500 ma i ih input high leakage v ih = v cc 100 100 100 100 m a i il input low leakage v il = gnd C100 C100 C100 C100 m a i oz output tri-state leakage v oh = 2.4v, v ol = 0.5v C100 100 C100 100 C100 100 C100 100 m a 2874 tbl 07 C + to device under test 25pf C4ma +4ma v ref +1.5v 2874 drw 08
5.3 12 idt79r3051/79r3052 integrated riscontrollers commercial temperature range ac electrical characteristics (1, 2, 3) (t c = 0 c to +85 c, v cc = +5.0v 5%) 20mhz 25mhz 33.33mhz 40mhz symbol signals description min. max. min. max. min. max. min. max. unit t1 busreq , ack , buserror , set-up to sysclk rising 6 5 4 3 ns rdcen , t1a a/d set-up to sysclk falling 7 6 5 4.5 ns t2 busreq , ack , buserror , hold from sysclk rising 4 4 3 3 ns rdcen , t2a a/d hold from sysclk falling 2 2 1 1 t3 a/d, addr, diag, ale, wr tri-state from sysclk rising 10 10 10 10 ns burst / wrnear , rd , dataen t4 a/d, addr, diag, ale, wr driven from sysclk falling 10 10 10 10 ns burst / wrnear , rd , dataen t5 busgnt asserted from sysclk rising 8 7 6 5 ns t6 busgnt negated from sysclk falling 8 7 6 5 ns t7 wr , rd , burst / wrnear , a/d valid from sysclk rising 5 5 4 3.5 ns t8 ale asserted from sysclk rising 4 4 3 3 ns t9 ale negated from sysclk falling 4 4 3 3 ns t10 a/d hold from ale negated 2 2 1.5 1.5 ns t11 dataen asserted from sysclk falling 15 15 13 12 ns t12 dataen asserted from a/d tri-state (4) 0000ns t14 a/d driven from sysclk rising (4) 0000ns t15 wr , rd , dataen , burst / wrnear negated from sysclk falling 7 6 5 4 ns t16 addr(3:2) valid from sysclk 6654.5ns t17 diag valid from sysclk 121110 9ns t18 a/d tri-state from sysclk falling 10 10 9 8 ns t19 a/d sysclk falling to data out 12 11 10 9 ns t20 clk2xin pulse width high 10 8 6.5 5.6 ns t21 clk2xin pulse width low 10 8 6.5 5.6 ns t22 clk2xin clock period 25 250 20 250 15 250 12.5 250 ns t23 reset pulse width from vcc valid 200 200 200 200 m s t24 reset minimum pulse width 32 32 32 32 tsys t25 reset set-up to sysclk falling 6 5 4 3 ns t26 int mode set-up to reset rising 6 5 4 3 ns t27 int mode hold from reset rising 2.5 2.5 2.5 2.5 ns t28 sint , sbrcond set-up to sysclk falling 6 5 4 3 ns t29 sint , sbrcond hold from sysclk falling 3 3 2 2 ns t30 int , brcond set-up to sysclk falling 6 5 4 3 ns t31 int , brcond hold from sysclk falling 3 3 2 2 ns tsys sysclk pulse width 2*t22 2*t22 2*t22 2*t22 2*t22 2*t22 2*t22 2*t22 t32 sysclk clock high time t22 C 2 t22 + 2 t22 C 2 t22 + 2 t22 C 1 t22 + 1 t22 C 1 t22 + 1 ns t33 sysclk clock low time t22 C 2 t22 + 2 t22 C 2 t22 + 2 t22 C 1 t22 + 1 t22 C 1 t22 + 1 ns tderate all outputs timing deration for loading 0.5 0.5 0.5 0.5 ns/ over 25pf (4, 5) 25pf notes: 2874 tbl 08 1. all timings referenced to 1.5v, with a rise and fall time of less than 2.5ns. 2. all outputs tested with 25pf loading. 3. the ac values listed here reference timing diagrams contained in the r3051 family hardware user's manual. 4. guaranteed by design. 5. this parameter is used to derate the ac timings according to the loading of the system. this parameter provides a deration for loads over the specified test condition; that is, the deration factor is applied for each 25pf over the specified test load condition.
5.3 13 idt79r3051/79r3052 integrated riscontrollers commercial temperature range pin configurations note: reserved pins must not be connected. 84-pin plcc/mquad top view v ss v cc a/d(14) a/d(13) a/d(12) a/d(11) a/d(10) a/d(9) v cc v ss a/d(8) a/d(7) a/d(6) a/d(5) a/d(4) a/d(3) v ss v cc a/d(2) a/d(1) a/d(0) burst/wrnear addr(3) addr(2) diag(1) diag(0) ale rd wr dataen v cc v ss sysclk busgnt reset buserror ack rdcen busreq brcond(0) v ss v cc clk2xin rsvd(4) rsvd(3) rsvd(2) rsvd(1) rsvd(0) int(5) v ss v cc int(4) int(3) sint(2) sint(1) sint(0) sbrcond(3) sbrcond(2) brcond(1) v ss v cc a/d(15) a/d(16) a/d(17) a/d(18) a/d(19) a/d(20) v ss v cc a/d(21) a/d(22) a/d(23) a/d(24) a/d(25) a/d(26) a/d(27) a/d(28) a/d(29) a/d(30) a/d(31) 184 12 75 33 54 v cc v ss v cc v ss 2874 drw 09
5.3 14 idt79r3051/79r3052 integrated riscontrollers commercial temperature range figure 8. r3051 family clocking figure 9. power-on reset sequence figure 10. warm reset sequence figure 11. mode selection and negation of reset clk2xin sysclk t 20 t 21 t 22 t 32 t sys t 33 2874 drw 11 sysclk reset int(n) sint(n), t 25 t 26 t 27 2874 drw 14 sysclk reset t 24 2874 drw 13 v cc clkin reset t 23 2874 drw 12
5.3 15 idt79r3051/79r3052 integrated riscontrollers commercial temperature range phiclk sysclk rd a/d(31:0) ale addr(3:2) dataen rdcen ack burst diag(1) diag(0) run/ fixup/ stall addr be word address stall stall stall stall stall fixup start read turn bus ack? ack? sample data end read ack/ rdcen cached? i/d miss address(2) miss address(3) data input t 7 t 14 t 10 t 8 t 9 t 7 t 12 t 1 t 2 t 15 t 2a t 15 t 1a t 14 t 17 t 17 t 18 t 16 t 11 2874 drw 15 figure 12. single datum read in r3051 family
5.3 16 idt79r3051/79r3052 integrated riscontrollers commercial temperature range phiclk sysclk rd a/d(31:0) ale addr(3:2) addr be dataen rdcen '00' stall stall stall refill/ stream/ fixup ack burst diag(1) diag(0) start read turn bus sample data new transaction run/ fixup/ stall ack/ rdcen cached? i/d t 7 t 14 t 10 t 8 t 9 t 7 t 12 t 1 t 2 t 17 t 17 t 18 t 15 word 0 t 2a t 1a t 14 t 15 word 1 t 2a t 1a word 2 t 2a t 1a word 3 t 2a t 1a '01' '10' '11' t 1 t 2 t 1 t 2 t 1 t 2 refill/ stream/ fixup refill/ stream/ fixup refill/ stream/ fixup t 16 t 16 t 16 sample data sample data sample data rdcen rdcen rdcen miss address(2) miss address(3) word 0 word 1 word 2 word 3 t 16 t 11 2874 drw 16 figure 13. r3051 family burst read
5.3 17 idt79r3051/79r3052 integrated riscontrollers commercial temperature range figure 14 (a). start of throttled quad read phiclk sysclk rd a/d(31:0) ale addr(3:2) dataen rdcen ack burst '00' stall sample data t 1 t 2 word 0 t 2a t 1a word 1 t 2a t 1a '01' '10' t 1 t 2 t 1 t 2 t 16 t 16 sample data sample data rdcen rdcen stall stall stall rdcen 2874 drw 17
5.3 18 idt79r3051/79r3052 integrated riscontrollers commercial temperature range figure 14 (b). end of throttled quad read phiclk sysclk rd a/d(31:0) ale addr(3:2) dataen rdcen ack burst stall refill/ stream/ fixup new transaction ack t 15 t 14 t 15 t 2a t 1a word 2 word 3 t 2a t 1a '01' '11' t 1 t 2 t 1 t 2 t 1 t 2 refill/ stream/ fixup refill/ stream/ fixup refill/ stream/ fixup t 16 sample data sample data rdcen rdcen word 0 word 1 word 2 word 3 t 1 t 2 2874 drw 18
5.3 19 idt79r3051/79r3052 integrated riscontrollers commercial temperature range figure 15. r3051 family write cycle figure 16. request and relinquish of r3051 family bus to external master sysclk busreq busgnt a/d(31:0) addr(3:2) diag(1:0) rd wr ale burst/ wrnear t 1 t 2 t 5 t 3 2874 drw 20 sysclk wr a/d(31:0) ale addr(3:2) ack wrnear addr be word address start write data out ack ack negate wr new transfer ack t 7 t 14 t 10 t 8 t 9 t 7 t 1 t 2 t 15 t 14 t 19 data out t 15 t 16 2874 drw 19
5.3 20 idt79r3051/79r3052 integrated riscontrollers commercial temperature range figure 17. r3051 family regaining bus mastership sysclk busreq busgnt a/d(31:0) addr(3:2) diag(1:0) rd wr ale burst/ wrnear t 1 t 2 t 6 t 4 2874 drw 21
5.3 21 idt79r3051/79r3052 integrated riscontrollers commercial temperature range figure 21. direct branch condition input timing figure 20. synchronized branch condition input timing figure 19. direct interrupt input timing figure 18. synchronized interrupt input timing phi sysclk int(n) exception vector run cycle 2874 drw 23 t 30 t 31 phi sysclk sint(n) exception vector run cycle 2874 drw 22 t 28 t 29 phi sysclk sbrcond(n) bczt/f instruction capture brcond 2874 drw 24 run cycle t 28 t 29 phi sysclk brcond(n) bczt/f instruction capture brcond 2874 drw 25 run cycle t 30 t 31
5.3 22 idt79r3051/79r3052 integrated riscontrollers commercial temperature range dwg # j84-1 mj84-1 # of leads 84 84 symbol min. max. min. max. a 165 .180 165 .180 a1 .095 .115 .094 .114 b .026 .032 .026 .032 b1 .013 .021 .013 .021 c .020 .040 .020 .040 c1 .008 .012 .008 .012 d 1.185 1.195 1.185 1.195 d1 1.150 1.156 1.140 1.150 d2/e2 1.090 1.130 1.090 1.130 d3/e3 1.000 ref 1.000 ref e 1.185 1.195 1.185 1.195 e1 1.150 1.156 1.140 1.150 e .050 bsc .050 bsc nd/ne 21 21 84 lead plcc/mquad (7) (square) notes: 1. all dimensions are in inches, unless otherwise noted. 2. bscbasic lead spacing between centers. 3. d & e do not include mold flash or protutions. 4. formed leads shall be planar with respect to one another and within .004 at the seating plane. 5. nd & ne represent the number of leads in the d & e directions respectively. 6. d1 & e1 should be measured from the bottom of the package. 7. mquad is pin & form compatible with plcc. 2874 drw 27 d d1 pin 1 e1 e e 45 x .045 b c1 seating plane d2/e2 d3/e3 b1 c a a1
5.3 23 idt79r3051/79r3052 integrated riscontrollers commercial temperature range ordering information valid combinations idt 79r3051 - 20, 25 j packages only 79r3051e - 20, 25 j packages only 79r3052 - 20, 25 j packages only 79r3052e - 20, 25 j packages only 79r3051 - 33, 40 mj packages only 79r3051e - 33, 40 mj packages only 79r3052 - 33, 40 mj packages only 79r3052e - 33, 40 mj packages only idt xxxxx device type xx speed x package -x process/ temp. range blank 'j' 'mj' '20' '25' '33' '40' 79r3051 79r3051e 79r3052 79r3052e commercial temperature range 84-pin plcc 84-pin mquad 20.0 mhz 25.0 mhz 33.33 mhz 40.0 mhz 4kb instruction cache, no tlb 4kb instruction cache, with tlb 8kb instruction cache, no tlb 8kb instruction cache, with tlb 2874 drw 28


▲Up To Search▲   

 
Price & Availability of IDT79R3052-40MJ

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X